嵌入式培训
嵌入式Linux就业班马上开课了 详情点击这儿
上 海 :021-51875830
北 京 :010-51292078
南 京 :025-68662821
武 汉 :027-50767718
成 都 :4008699035

深 圳 :4008699035

沈 阳: 024-31298103
郑 州: 0371-63710058
石 家 庄: 4008699035
广 州: 020-61137349
西 安: 029-86699670
免费报名电话
Training in English

曙海研发与生产网址:www.shanghai66.cn
     
  首 页   手机浏览模式  课 程 介 绍    培 训 报 名   企业培训   付款方式    讲师介绍    学员评价    关于我们   联系我们   承接项目
嵌入式协处理器--FPGA
FPGA项目实战系列课程----
嵌入式OS--3G手机操作系统
嵌入式协处理器--DSP
手机/网络/动漫游戏开发
嵌入式OS-Linux
嵌入式CPU--ARM
嵌入式OS--WinCE
单片机培训
嵌入式硬件设计
Altium Designer Layout高速硬件设计
嵌入式OS--VxWorks
PowerPC嵌入式系统/编译器优化
PLC编程/变频器/数控/人机界面 
开发语言/数据库/软硬件测试
3G手机软件测试、硬件测试
芯片设计/大规模集成电路VLSI
云计算、物联网
开源操作系统Tiny OS开发
小型机系统管理
其他类
WEB在线客服
南京WEB在线客服
武汉WEB在线客服
西安在线客服
广州WEB在线客服
沈阳在线客服
郑州在线客服
石家庄在线客服
点击这里给我发消息  
QQ客服一
点击这里给我发消息  
QQ客服二
点击这里给我发消息
QQ客服三
  双休日、节假日及晚上可致电值班电话:4008699035 值班手机:15921673576 或加qq:1299983702和微信:shuhaipeixun

值班QQ:
点击这里给我发消息

值班网页在线客服,点击交谈:
 
网页在线客服

 
公益培训通知与资料下载
企业招聘与人才推荐(免费)

合作企业最新人才需求公告

◆招人、应聘、人才合作,
请把需求发到officeoffice@126.com或
访问曙海旗下网站---
电子人才网
www.morning-sea.com.cn
合作伙伴与授权机构
现代化的多媒体教室
曙海招聘启示
曙海动态
 
     Design Compiler高级培训班(Synopsys)
   班级规模及环境
       为了保证培训效果,增加互动环节,我们坚持小班授课,每期报名人数限3到5人,多余人员安排到下一期进行。
   上课时间和地点
上课地点:【上海】:同济大学(沪西)/新城金郡商务楼(11号线白银路站) 【深圳分部】:电影大厦(地铁一号线大剧院站)/深圳大学成教院 【北京分部】:北京中山学院/福鑫大楼 【南京分部】:金港大厦(和燕路) 【武汉分部】:佳源大厦(高新二路) 【成都分部】:领馆区1号(中和大道) 【沈阳分部】:沈阳理工大学/六宅臻品 【郑州分部】:郑州大学/锦华大厦 【石家庄分部】:河北科技大学/瑞景大厦
最近开课时间(周末班/连续班/晚班)
Design Compiler高级培训班:2024年1月8日
   学时
     ◆课时: 共5天,30学时

        ◆外地学员:代理安排食宿(需提前预定)
        ☆注重质量
        ☆边讲边练

        ☆合格学员免费推荐工作

        ☆合格学员免费颁发相关工程师等资格证书,提升您的职业资质

        专注高端培训15年,曙海提供的证书得到本行业的广泛认可,学员的能力
        得到大家的认同,受到用人单位的广泛赞誉。

        ★实验设备请点击这儿查看★
   最新优惠
       ◆团体报名优惠措施:两人95折优惠,三人或三人以上9折优惠 。注意:在读学生凭学生证,即使一个人也优惠500元。
   质量保障

        1、培训过程中,如有部分内容理解不透或消化不好,可免费在以后培训班中重听;
        2、培训结束后,培训老师留给学员手机和Email,免费提供半年的技术支持,充分保证培训后出效果;
        3、培训合格学员可享受免费推荐就业机会。 ☆合格学员免费颁发相关工程师等资格证书,提升您的职业资质。专注高端培训13年,曙海提供的证书得到本行业的广泛认可,学员的能力得到大家的认同,受到用人单位的广泛赞誉。

  Design Compiler高级培训班(Synopsys)
  课程描述

       DC是把HDL描述的电路综合为跟工艺相关的、门级电路。并且根据用户的设计要求,在时序和面积,时序和功耗上取得最佳的效果。在floor planning和placement和插入时钟树后 返回DC进行时序验证。其最高版本被称为DC Ultra。在Synopsys软件中完整的综合方案的核心是DC UltraTM,对所有设计而言它也是最好级别的综合平台。DC Ultra添加了全面的 数据通路和时序优化技术,并通过工业界的反复证明。

   课程内容

 第一阶段

       综合的定义;ASIC design flow;Synopsys Design Compiler的介绍;Tcl/Tk 功能介绍;Synopsys technology library;Logic synthesis的过程;Synthesis 和layout的接口——LTL;Post_layout optimization;SDF文件的生成;其他高级综合技巧与总结。

  Overview?
  
   This course covers the ASIC synthesis flow using Design Compiler -- from reading in an RTL design (Verilog and VHDL) to generating a final gate-level netlist. You will learn how to read in your design file(s), specify your libraries, constrain a complex design for area and timing, partition your design? hierarchy for synthesis, apply synthesis techniques to achieve area and timing closure, analyze the synthesis results, and generate output data that works with downstream layout tools. You will verify the logic equivalence of synthesis transformations (such as Datapath optimizations and Register Retiming) to that of an RTL design using Formality. The course includes labs to reinforce and practice key topics discussed in lecture. All the covered commands and flows are printed separately in a 4-page Job Aid which the student can refer to back at work.
  
   Objectives?
  
   At the end of this workshop the student should be able to:?
   ◆Create a setup file to specify the libraries that will be used?
   ◆Read in a hierarchical design?
   ◆Partition a design's hierarchy optimally for synthesis?
   ◆Constrain a complex design for area and timing, taking into account different environmental attributes such as output loading, input drive strength, process, voltage and temperature variations, as well as post-layout effects such as clock skew and net parasitics?
   ◆Select the appropriate compile flow for your project?
   ◆Execute the recommended synthesis techniques within each compile flow to achieve area and timing closure?
   ◆Perform test-ready synthesis when appropriate?
   ◆Verify the logic equivalence of a synthesized netlist to that of an RTL design?
   ◆Write DC-Tcl scripts to constrain and compile designs?
   ◆Generate and interpret timing, constraints and other debugging reports?
   ◆Understand the effect that RTL coding style can have on synthesis results?
   ◆Generate output data (netlist, timing/area constraints, physical constraints scan-def) that works with downstream physical design?or?layout tools?
  
   Audience Profile
  
   ASIC digital designers who are going to use Design Compiler to synthesize Verilog?or?VHDL RTL modules to generate gate-level netlists.
  
   Prerequisites
  
   To benefit the most from the material presented in this workshop, you should:
   ◆Understand the functionality of digital sequential and combinational logic?
   ◆Have familiarity with UNIX and a UNIX text editor of your choice?
   ◆No prior Design Compiler knowledge?or?experience is needed?
  
  第二阶段
  
   Unit 1
   ◆Introduction to Synthesis
   ◆Setting Up and Saving Designs
   ◆Design and Library Objects
   ◆Area and Timing Constraints
   ◆Setting Up and Saving Designs

  • Loading Technology and Design Data
  • Design and Library Objects
  • Timing Constraints



   Unit 2
   ◆Partitioning for Synthesis
   ◆Environmental Attributes
   ◆Compile Commands
   ◆Timing Analysis
   ◆More Constraint Considerations
  

  • Compiling RTL to Gates
  • Timing Analysis


Unit 3
◆More Constraint Considerations
◆Multi-Clock Designs
◆Synthesis techniques and Flows
◆Post-Synthesis Output Data
◆Conclusion
Congestion Analysis and Optimization

Unit 4

Unit 5

Clock Tree Synthesis

Multi Scenario Optimization

?

Unit 6

Design Planning

Routing and Crosstalk

Chip Finishing and DFM

Customer Suppor

第三阶段

第一部分
unit 1. Introduction to Synthesis
? Execute the basic steps of synthesis on a simple design
? Use two commands to modify the partitioning of a design
? Gain familiarity with SolvNet ,your essential resource for?
  solving your design compiler problems
unit 2. Setup, Libraries and Objects
unit 3. Partitioning for Synthesis
unit 4. DC Tcl - An Introduction

第二部分
unit 5. Timing and Area
?Constrain simple designs for area, timing and design
  rule constraints (DRC)
? Generate ,view and analyze timing and DRC reports
unit 6. Environmental Attributes
unit 7. Design Rules and Min Timing
unit 8.Timing Analysis

第三部分
unit 9.Multiple Clock/Cycle Designs
? Constrain and analyze multi-clock,
  asynchronous and multi-cycle path designs
? State several key steps that occur during a default compile?
? Enable Design Compiler to work harder in fixing design violations
? Describe some issues that surround synthesis and where to find additional information?

unit 10. Optimization

unit 11.Compile Strategies

unit 12. Before,During and After
 

   培养对象

        从事ASIC 设计与验证的工程师,希望更深入了解Design Compiler和芯片综合(chip synthesis)技术的工程师,希望从事ASIC设计工程师的理工科背景大四学生或硕士研究生。

   入学要求

        学员学习本课程应具备下列基础知识:
        ◆ 对数字集成电路设计有一定理解;
        ◆ 了解Verilog/VHDL 语言。

 

节假日、双休日及晚上请垂询招生热线 :4008699035


备 案 号 : 沪 IC P备 08026168 号

.(2014年7月11).......................................................................................................